Impact of irregular geometries on low-k dielectric breakdown

نویسندگان

  • Muhammad Bashir
  • Linda S. Milor
  • Daehyun Kim
  • Sung Kyu Lim
چکیده

Backend geometries on chips contain a wide variety of features. We are developing a full-chip reliability simulator for low-k dielectric breakdown that takes into account the vulnerable area, linewidth, vias, and line edge roughness. The simulator provides a link between test structure results and predictions of chip dielectric lifetime. However, these factors may not be sufficient for large chips with a wider variety of features. In this paper, we analyze data from backend dielectric test structures with irregular geometries to determine if more layout features need to be added to a full-chip reliability simulator for low-k dielectric breakdown. 2011 Elsevier Ltd. All rights reserved.

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Methodology to determine the impact of linewidth variation on chip scale copper/low-k backend dielectric breakdown

0026-2714/$ see front matter 2010 Elsevier Ltd. A doi:10.1016/j.microrel.2010.07.091 * Corresponding author. Tel.: +1 404 894 4793; fax E-mail address: [email protected] (L. Mil Low-k time-dependent dielectric breakdown (TDDB) has been found to be a function of metal linewidth, when the distance between the lines is constant. Modeling requires determining the relationship between TDDB ...

متن کامل

Time dependent dielectric breakdown in a low-k interlevel dielectric

Intralevel Time Dependent Dielectric Breakdown (TDDB) was studied in interdigitated comb structures comprised of standard Cu metallization and a low-k interlevel dielectric. The failure distribution was found to be best represented as being lognormal with sigma increasing as the field decreased. Kinetic studies revealed an exponential dependence on the electric field that fits 1/E closer than –...

متن کامل

Time Dependent Dielectric Breakdown in Copper Low-k Interconnects: Mechanisms and Reliability Models

The time dependent dielectric breakdown phenomenon in copper low-k damascene interconnects for ultra large-scale integration is reviewed. The loss of insulation between neighboring interconnects represents an emerging back end-of-the-line reliability issue that is not fully understood. After describing the main dielectric leakage mechanisms in low-k materials (Poole-Frenkel and Schottky emissio...

متن کامل

Multipactor Analysis in Dielectric Resonator Waveguide Filters

Multipactor breakdown analysis is presented in cylindrical dielectric resonator waveguide filter under its dual-mode behavior. The method of effective electron algorithm with combination of Monte-Carlo method is used to perform the simulation and predict multipactor breakdown thresholds. The breakdown thresholds of the proposed structure are presented for different values of frequency-gap produ...

متن کامل

The Effect of Different Dielectric Materials in Designing High Performance Metal-Insulator-Metal (MIM) Capacitors

Received Jan 24, 2017 Revised Mar 30, 2017 Accepted Apr 15, 2017 A Metal-Insulator-Metal (MIM) capacitor with high capacitance, high breakdown voltage, and low leakage current is aspired so that the device can be applied in many electronic applications. The most significant factors that affect the MIM capacitor’s performance is the design and the dielectric materials used. In this study, MIM ca...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:
  • Microelectronics Reliability

دوره 51  شماره 

صفحات  -

تاریخ انتشار 2011